Sentech Logo

SENTECH Instruments develops, manufactures, and sells worldwide advanced quality instrumentation for Plasma EtchingPECVDAtomic Layer Deposition, Thin Film Measurement (Spectroscopic EllipsometrySpectroscopic Reflectometry and Laser Ellipsometer) and Photovoltaics.

Plasma Etching –

  • ICP-RIE Plasma Etcher SI 500
  • RIE Plasma Etcher Etchlab 200
  • RIE Plasma Etcher SI 591 Compact

PECVD (Plasma Deposition) –

  • ICP Plasma Deposition System SI 500 D
  • PECVD Loadlock System SI 500 PPD
  • PECVD Direct Loading System Depolab 200

Atomic Layer Deposition –

  • Atomic Layer Deposition Systems

Spectroscopic Ellipsometry –

  • SENresearch: Spectroscopic ellisometer family
  • SENpro: Cost-effective spectroscopic ellipsometry
  • SENDIRA: Intrared spectroscopic ellipsometry
  • SENDURO: Automated spectroscopic ellisometry
  • SpectraRay/3: Spectroscopic ellipsometry software

Laser Ellipsometer –

  • Laser Ellipsometer SE 400adv
  • CER Ellipsometer SE 500adv
  • Options to be bench top Laser Ellipsometer
  • In situ Laser Ellipsometer SE 401

Reflectometer (FTP) –

  • Spectroscopic reflectometry: RM 1000/2000
  • Film Thickness Probe table top reflectometer FTPadv
  • Comprehensive thin film measurement software FTPadv Expert

Measuring tools for :

Crystalline silicon cells –

  • SE 400adv PV
  • SE 800 PV

Thin film solar cells –

  • SenSol
  • RT Inline