Thin Film Deposition

Omicron installation at Waterloo Australia

Scitek provides a wide range of Thin Film Deposition products used to built or optimize product design across a wide array of applications. Applications range from an increased production rate of silicon chips to increased adhesion strength and reproducibility, making it ideal for research labs and large quantity manufacturing environments.

Kurt J. Lesker

Physical Vapor Deposition Systems

Physical vapor deposition (PVD) systems deposit thin films and coatings by a process in which a target material is vaporized, transported, and condensed on to a substrate. PVD processes include Sputtering, Electron beam, and Thermal Evaporation.

PRO Line PVD Series – Versatile Sputtering, Electron Beam, Thermal, & Organic Evaporation Deposition Platform

SPECTROS™ Series – Organic Thin Film Deposition & Metallization Platform

Atomic Layer Deposition Systems

KJLC® currently offers two state-of-the-art systems for Atomic Layer Deposition (ALD): the ALD150LX™ and the ALD150LE™. As substrate features continue to decrease in size while increasing in complexity, ALD techniques will become increasingly important to meet the strict demands for conformal, pristine, high quality thin films and their interfaces. Both of these systems are ideally suited to meet even the most demanding challenges associated with next generation 3D nanotechnology. 

Their ALD platform features high quality, compact designs that provide extreme flexibility while maintaining system component accessibility and serviceability. All system components meet the highest of quality standards, including parts manufactured in-house by our Manufacturing Division.

Cluster & Custom Deposition Systems

A cluster tool utilizes a central robotic distribution chamber to connect multiple process (PVD, ALD) and metrology chambers while enabling substrate transfer under vacuum. A custom system is designed to meet your specific needs for a vacuum process solution.

Classic Deposition Systems

Platforms that are specially engineered to meet a customer's requirements.

PVD-DRUM

Pulsed Filtered Cathodic Arc

MBE (Omicron Scienta)


EVO-25/50
–The EVO-25/50  MBE-Systems are dedicated growth systems with a loadlock chamber  and with an optional preparation/storage chamber. The substrate sizes are either 1” (EVO-25) or 2” (EVO-50). The systems of course also accept the Omicron standard sample plates.

The carefully designed chamber with up to 10 effusion cells shows excellent thickness uniformity for all substrate sizes. The large effusion cell capacity of to 80 cm3 for layer growth increases the up-time of the system significantly.

The fast & reliable sample transfer together with the excellent performance results in a low cost of ownership. The optional available easy & intuitive to operate growth control software and the possibility to add standard Omicron analysis equipment makes this a unique MBE system for researchers.

LAB-10 – The LAB-10 MBE System is the standard MBE system with a loadlock chamber  and with an optional preparation/storage chamber. The substrate size for the LAB-10 MBE system is the Omicron standard sample plate.

Its proven chamber design with up to 7 effusion cells is revealed in an excellent thickness homogeneity for a 10 mm substrate. The effusion cell capacity can range from 10 cm3 up to 35 cm3 for layer growth.

The  fast & reliable sample transfer together with the excellent performance results in a low cost of ownership. The optional available easy & intuitive to operate growth control software and the possibility to add standard Omicron analysis equipment makes this a unique MBE system for researchers.

PRO-75/100 – The PRO-75/100  MBE-Systems are dedicated growth systems with a loadlock chamber  and with an optional preparation/storage chamber. The substrate sizes are either 3” (PRO-75) or 4” (PRO-100). The systems of course also accept smaller samples (1” and 2”) and the Omicron standard sample plates.

The carefully designed chamber with up to 12 effusion cells shows excellent thickness uniformity for all substrate sizes. The large effusion cell capacity of to 130 cm3 for layer growth increases the up-time of the system significantly.
The fast & reliable sample transfer together with the excellent performance results in a low cost of ownership. The optional available easy & intuitive to operate growth control software and the possibility to add standard Omicron analysis equipment makes this a unique MBE system for researchers.

PECVD (Sentech)

ICP Plasma Deposition System – The high end ICPECVD system SI 500 D provides exceptional performance for plasma based deposition processes. High quality dielectric and Si films are deposited using high density PECVD generated with the PTSA ICP plasma source. The planar triple spiral antenna (PTSA) ensures excellent properties of the deposited films, such as low etching rates, low stress and low interface state density at very low deposition temperatures (≤ 100 °C)

PECVD Loadlock System – The flexible PECVD system SI 500 PPD features a variety of standard plasma deposition processes. SiO2, SiNx, SiOxNy, and a-Si are deposited with capacitively coupled plasma. The flexible design allows to use gaseous or liquid precursors for PECVD like TEOS.

PECVD Direct Loading System – The PECVD system Depolab 200 combines cost effective direct loading and parallel plate plasma source in a basic, compact design. The easy to use direct loading system enables user-friendly batch processing (with carrier or direct loading onto the substrate electrode). The clever PECVD system can be upgraded for enhanced performance on demand.

Atomic Layer Deposition Systems (ALD)

Plasma Enhanced ALD - The true remote CCP source ensures lowest impact to the substrate (no ions, no light from plasma) and guarantees stable pulse operation during the plasma-enhanced atomic layer deposition (PEALD) process.

In-situ Diagnostic - The system can be equipped with several in-situ diagnostics tools e. g. QCM, QMS, ellipsometer. Ultra-fast in-situ ellipsometers are offered for monitoring layer-by-layer film growth applying laser ellipsometry as well as wide range spectroscopic ellipsometry.

Atomic Layer Deposition (ALD) is a layer-by-layer deposition process of very thin films with conformal coating on 3D structures. Precise control of thickness and film properties is facilitated by adding precursors in separate steps into the vacuum chamber during process cycle. Plasma Enhanced Atomic Layer Deposition (PEALD) is an advanced method of extending the capabilities of ALD by applying radical gas species rather than water as oxidizer during the deposition process.

Link: Click Here

TSST

Advanced Pulsed Laser Deposition (PLD) with in situ RHEED – PDF
Thin film growth with atomic precision – TSST Advanced Pulsed Deposition systems with in situ RHEED are state-of-the-art, highly flexible PLD systems for thin film research at atomic level, ideally suited and field proven for research on a large variety of materials including complex oxides.

  • Thin film growth of highest quality complex materials
  • Single monolayer growth control with RHEED
  • Fully customised design, including adaptation to a specific lab layout
  • Remote support, service and on site training by TSST engineers
  • Down to 5.0 x 10-10 mbar base pressure
  • Up to 1200C growth temperature
  • Up to 6 odd shaped targets for heterostructure growth

Pulsed Laser Deposition (PLD) for thin film growth – PDF
Thin film growth of highest quality oxides and nitrides – TSST Pulsed Laser Deposition systems for thin film growth are state-of-the-art, highly flexible PLD systems for thin film research, ideally suited and field proven for research on a large variety of materials including complex oxides.

  • Thin film growth of highest quality complex materials
  • Fully customized design, including adaptation to a specific lab layout
  • Remote support, service and on site training by TSST engineers
  • < 10-7 mbar base pressure
  • Up to 1000C growth temperature
  • Up to 6 targets for heterostructure growth